Breadcrumb

Modeling and Analysis for Accelerating Aging Effects for Copper Interconnect ICs

Principle Investigators

Graduate Students

Current Students

  • Taeyoung Kim
  • Chase Cook
  • Zeyu Sun
  • Hengyang Zhao

Graduate Students (graduated)

  • Dr. Xin Huang (Oracle Corp)

Industry Liaisons

  1. Dr. Mehul Shroff, NXP Semiconductor, Inc.
  2. Dr. Ertugrul Demircan, NXP Semiconductor, Inc.

Funding

We appreciate the following funding agencies for their generous supports of this project.

  1. Defense Advanced Research Projects Agency (DARPA) (HR0011-16-2-0009), "Advanced Modeling and Analysis for Accelerating Effects of Electromigration and Stress Migration for Copper Interconnects of ICs", $ 462,644, Feb 11th 2016 to Aug 11th 2018 (30 months). Single PI.

Awards

Project Descriptions

Background

Reliability is becoming a limiting constraint in high-performance nanometer VLSI chip designs due to the high failure rates in deep submicron and nanoscale devices. It was expected that the future chips will show sign of reliability-induced age much faster than the previous generations. Among of many reliability effects, electromigration (EM)-induced reliability has become a major design constraint due to aggressive transistor scaling and increasing power density.

Electromigration (EM) is a physical phenomenon of the oriented migration of metal (Cu) atoms along a direction of applied electrical field due to the momentum exchange between atoms and the conducting electrons. Migration of atoms results in metal density depletion or accumulation, which leads to build-up of hydrostatic stresses across the conductor. EM can degrade both global interconnects such as power grid networks and signal wires when the current densities are sufficiently high (about 1MA/cm^2). However, the power grid networks are more susceptible to EM effects due to the conduction of unidirectional currents.

The motivations of this project

This project is to develop new physics-based predicted electromigration (EM) and stress migration (SM) models, and fast analysis methods which can work on extreme stressing conditions targeting to the back end of the line (BEOL), technical Area 2. We will focus on following thrusts.

  • Firstly, we are looking the closed form solutions to the fundamental stress-based differential equations (Korhonen's equation) and perform simulation against numerical results. For SM models, we plan to apply the finite difference method and model reduction techniques to obtain the compact models of the stress due to thermo-mechanical dynamics
  • Secondly,we are going to perform the tree decompositions (into simple wires) first and then finding the closed form solutions to the coupled fundamental stress-based differential equations (Korhonen's equation) and perform simulation against numerical results.
  • Thirdly,we are going to develop the closed form expressions from the solutions of fundamental stress-based differential equations (Korhonen's equation) considering time-varying current densities and temperature changes.

We expect the following results coming from this research:

  1. The project will mainly focus on the sub-phase A of this IRIS Phase III program, with the goal of developing advanced physics-based EM/SM models.
  2. We will seek to develop new physics-based predictable EM and SM models and fast failure assessment techniques for accelerated aging and wear-out of copper interconnects.
  3. We will verify the proposed models against numerical analysis methods using COMSOL.
  4. We work with industry partners to validate our models (NXP).

Research tasks and objectives

The first task is to develop physics-based EM and SM models for dual damascene copper wires. its objective is to develop the physics-based EM models for single dual damascene copper wire. The second task is to develop physics-based EM models for copper interconnect trees. Its objective is to develop the physics-based EM models for more complicated dual damascene copper interconnect wires. The third task is to develop physics-based EM models for dual damascene copper wires consider time-varying temperature and current densities. Its objective is to develop the physics-based EM models for dual damascene interconnect wires considering the time-varying temperature and current densities.

 

Features of the proposed methods

  1. Address the long-term thermal-sensitive reliability issues such EM, SM, thermal cycling effects by analysis thermal and current density effects on multi-branch interconnect trees.
  2. New physics-based EM assessment techniques which is more accurate and faster than traditional methods.
  3. New physics-based EM model working with high stress condition (high temperature and high current density) for EM acceleration.
  4. The new EM and SM (stress migration) models can be used for both normal chip operations and extreme stressing conditions.
  5. The new EM model can be applied to vulnerable structures and more complex power grid and get accurate information for time to fail.

Invited Presentations by Dr. Sheldon Tan and collaborators

Tutorial Presentations by Dr. Sheldon Tan

Software Download

The developed EM models in matlab codes are shared in the github at physics-based EM assessment analysis codes and documents

Publications

Journal publications

  • J1 X. Huang, V. Sukharev, J.-H. Choy, M. Chew, T. Kim, S. X.-D. Tan, "Electromigration assessment for power grid networks considering temperature and thermal stress effects", Integration, The VLSI Journal, , Volume 55, September 2016, Pages 307-315, ISSN 0167-9260, https://doi.org/10.1016/j.vlsi.2016.04.001.
  • J2 K. He, X. Huang, S. X.-D. Tan, "EM-based on-chip aging sensor for detection of recycled ICs", IEEE Design & Test, pp.56-64, June, 2016.
  • J3 X. Huang, V. Sukharev, T. Kim, S. X.-D. Tan, "Dynamic electromigration modeling for transient stress evolution and recovery under time-dependent current and temperature stressing," Integration, the VLSI Journal, Available online 12 November 2016, ISSN 0167-9260, https://doi.org/10.1016/j.vlsi.2016.10.007.

Conference publications

  • C1 Z. Sun, E. Demircan, M. Shroff, T. Kim, X. Huang, S. X.-D. Tan, "Voltage-based electromigration immortality check for general multi-branch interconnects", Proc. IEEE/ACM International Conf. on Computer-Aided Design (ICCAD'16), Austin, TX, Nov. 2016.
  • C2 T. Kim, Z. Sun, J. Gaddipati, H. Wang, H. Chen, S. X.-D. Tan, "Dynamic reliability management for near-threshold dark silicon processors", Proc. IEEE/ACM International Conf. on Computer-Aided Design (ICCAD'16), Austin, TX, Nov. 2016. (Invited)
  • C3 L. Xu, H. Wang, S. X.-D. Tan, C. Zhang, Y. Yuan, K. Huang, Z. Zhang, "Distributed model predictive control for dynamic thermal management of multi-core systems", Int., Conf. Solid State and Integrated Circuit Technology (ICSICT'16), Hangzhou, China, Oct. 2016.
  • C4 J. Wan, H. Wang, J. He, S. X.-D. Tan, Y. Cai, S. Yang "A fast full-chip static power estimation method", Int., Conf. Solid State and Integrated Circuit Technology (ICSICT'16), Hangzhou, China, Oct. 2016.
  • C5 S. Wang, H. Zhao, S. X.-D. Sheldon Tan and M. Tahoori, "Recovery-aware proactive TSV repair for electromigration in 3D ICs", Proc. Design, Automation and Test in Europe (DATE'17), Lausenne, Switzerland, March 2017.
  • C6 X. Wang, H. Wang, J. He, S. X.-D. Tan, Y. Cai and S. Yang, "Physics-based electromigration modeling and assessment for multi-segment interconnects in power grid networks", Proc. Design, Automation and Test in Europe (DATE'17), Lausanne, Switzerland, March 2017.
  • C7 Y. Yao, T. Kim H. Chen, H. Wang, E. Tlelo-Cuautle and S. X.-D. Tan, "Comprehensive detection of counterfeit ICs via on-chip sensor and post-fabrication authentication policy", International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD'17) Giardini Naxos - Taormina, Italy, June 2017.
Tags